北邮数电上实验报告

阅读: 评论:0

           
      北京邮电大学
数字电路与逻辑设计实验
                  学院:
                  班级:
马鞍山ok人才网                  姓名:
                  学号:
                  班内序号:

          实验一  Quartus II原理图输入法设计
1、实验目的:
固原旅游景点大全排名
(1)熟悉Quartus II原理图输入法进行电路设计和仿真。
(2)掌握Quartus II 深圳欢乐谷门票学生票图形模块单元的生成与调
(3)熟悉实验板的使用
二、实验所用器材:
(1)计算机
(2)直流稳压电源
(3)数字系统与逻辑设计实验开发板
三、实验任务要求
(1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块单元。
(2)(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。
(3)VHDL语言实现全加器。
四、实验原理图和实验波形图
1、全加器实验原理图。
2、全加器实验波形图。
五、仿真波形分析
由仿真波形可以看出,当a,b,ci有两个或者两个以上为1时,产生进位,即co输出为1,而输出s则是当a,b,ci输入偶数个1时为0,奇数个1时为1,满足实验原理,仿真波形正确。
           

         
            实验三  VHDL组合逻辑电路设计
一、实验目的:
(1)熟悉Quartus II五台山介绍原理图输入法进行电路设计和仿真。
(2)掌握Quartus II 图形模块单元的生成与调
(3)佛山疫情最新消息今天熟悉实验板的使用
二、实验所用器材:
(1)计算机
(2)直流稳压电源
(3)数字系统与逻辑设计实验开发板
长滩岛旅游攻略大全
三、实验任务要求
(1)VHDL语言设计将8421计数器,分频器和数码管译码器连接使用,实现在指定数码管滚动显示0-9,其余数码管不亮,并带有清零功能,并下载到实验板显示计数结果。

本文发布于:2023-07-30 02:33:31,感谢您对本站的认可!

本文链接:http://www.035400.com/whly/3/556185.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:实验   实现   显示   设计   数码管   原理图   信号   模块
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2024-2030 Comsenz Inc.Powered by © 文化旅游网 滇ICP备2022007236号-403 联系QQ:1103060800网站地图